From f1a09b3cdf3741e755c9af92956a7ef377607b6b Mon Sep 17 00:00:00 2001 From: cncsmonster Date: Sat, 6 Jan 2024 07:13:12 +0800 Subject: [PATCH] init --- base/sy/00_main.sy | 3 +++ base/sy/01_global.sy | 5 +++++ 2 files changed, 8 insertions(+) create mode 100644 base/sy/00_main.sy create mode 100644 base/sy/01_global.sy diff --git a/base/sy/00_main.sy b/base/sy/00_main.sy new file mode 100644 index 0000000..ce8a40f --- /dev/null +++ b/base/sy/00_main.sy @@ -0,0 +1,3 @@ +int main(){ + return 0; +} \ No newline at end of file diff --git a/base/sy/01_global.sy b/base/sy/01_global.sy new file mode 100644 index 0000000..097bbea --- /dev/null +++ b/base/sy/01_global.sy @@ -0,0 +1,5 @@ +int a; +int b[3]; +int main(){ + return 0; +} \ No newline at end of file